IEEE 1800-2012
Verilog系统的IEEE标准.标准硬件设计、规范和检定语言

IEEE Standard for SystemVerilog - Unified Hardware Design, Specification, and Verification Language

2018-02

标准号
IEEE 1800-2012
发布
2012年
发布单位
美国电气电子工程师学会
替代标准
IEEE 1800-2017
当前最新
IEEE 1800-2023
 
 

IEEE 1800-2012相似标准


推荐

一文详解FPGA设计与应用(二)

产品 设计领域会造就大量企业企业家,是一个发展热点机遇。  3、系统级  系统应用是FPGA与传统计算机技术结合,实现一种FPGA版计算机系统,实现一个基本环境,在这个平台上跑LINUX等系统,这个系统也就支持各种标准外设功能接口(如图象接口)了这对于快速构成FPGA大型系统来讲是很有帮助。...

芯片验证策略六部曲(一)

严格来讲,它本身不是一种语言,而是建立在C++之上一种类库(class library)。SystemC语言可以用来描述系统级别的硬件行为,而这一点恰是其它语言无法满足。SystemC从2006年被IEEE收入IEEE 1666标准,它本身也易于学习,对于有C++/Java基础硬件设计概念的人使用起来都不需要太多学习成本。...

相比GPUGPP:FPGA才是深度学习未来?(二)

  除了编译时间外,吸引偏好上层编程语言研究人员应用科学家来开发FPGA问题尤为艰难。虽然能流利使用一种软件语言常常意味着可以轻松地学习另一种软件语言,但对于硬件语言翻译技能来说却非如此。针对FPGA最常用语言VerilogVHDL,两者均为硬件描述语言(HDL)。...

DSP处理器开发简便性

因此选择DSP时需要考虑因素有软件开发工具(包括汇编、链接、仿真、调试、编译、代码库以及实时操作系统等部分)、硬件工具(开发板仿真机)高级工具(例如基于框图代码生成环境)。  选择DSP器件时常有如何实现编程问题。一般设计工程师选择汇编语言或高级语言(如C或Ada),或两者相结合办法。...





Copyright ©2007-2022 ANTPEDIA, All Rights Reserved
京ICP备07018254号 京公网安备1101085018 电信与信息服务业务经营许可证:京ICP证110310号