关注公众号

关注公众号

手机扫码查看

手机查看

喜欢作者

打赏方式

微信支付微信支付
支付宝支付支付宝支付
×

正弦信号发生器正弦波的产生

2021.8.30

  正弦波形的产生

  单向dds由nbit相位累加器和rom只读存储器(正弦查找表)构成的数控振荡源(nco),数模转换器(dac)、低通平滑滤波器(lpf)构成。

  fc为时钟频率,k为频率控制字,n为相位累加器的字长,m为rom地址线位数,l为rom数据线宽度,fo为输出频率。相位累加器由全加器和累加寄存器级联组成。在时钟频率fc的控制下,对输入频率控制字k进行累加,累加满量时就产生溢出。相位累加器的输出对应于该时刻合成周期信号的相位,并且这个相位是周期性的,在0~2π范围内变化。相位累加器位数为n,最大输出为2n-1,对应于2π的相位,累加1次就输出1个相应的相位码,地址以查表方式,得到对应相位的信号幅度值,经过数模转换,就可以得到一定频率的信号输出波形,低通滤波器对输出的信号波形进行平滑处理,滤除杂波和谐波。由于控制字k经过2n/k次累加,相位累加器满量溢出,完成1个周期运算,所以输出频率fo由fc和k共同决定,即fo=fck/2n且k<2n-1,得到dds的最小分辨率可达fc/2n。理论上通过设定dds相位累加器的位数n、频率控制字k和时钟频率fc的值,就可以产生任一频率的输出。根据频率步进100hz的要求,选取累加器的位数为19位,计算出时钟频率fc应为52.4288 mhz。步进的累计误差通过软件补偿的方法进行修正,利用现有的52.416 0 mhz晶振完全精确地实现步进100 hz的要求。

  

推荐
热点排行
一周推荐
关闭