关注公众号

关注公众号

手机扫码查看

手机查看

喜欢作者

打赏方式

微信支付微信支付
支付宝支付支付宝支付
×

让摩尔定律一再放缓 晶圆厂的cycle time是什么?(二)

2020.10.12

Dai Nippon Printing(DNP)的研究员Naoya Hayashi说:“TAT更长的原因是写入时间、检查时间和验证时间。”

写入时间是罪魁祸首。如上所述,IC设计要转换为文件格式。该格式被转换成电子束掩膜写入器的一组指令。这个过程称为掩膜数据准备(MDP)。

然后,电子束掩膜写入器将指令和图案微小的特征写入掩膜。但随着掩膜复杂性的增加,电子束需要更长的时间来写入它们。

幸运的是,我们有解决方案。最近,IMS Nanofabrication引入了一个多光束掩膜写入器。它配备262,144个光束,系统可以在10小时内写完一个光学掩膜,而传统工具要写30小时。

NuFlare正在开发一个类似的系统。“多光束写入有助于TAT,因为写入时间与形状的数量和复杂性无关。”D2S的Fujimura说。

还有其他问题。Fujimura说:“掩膜形状需要更小、更复杂,以满足所需的晶圆加工余量、剂量控制和实现线性校正所需的形状校正。这需要增加数据准备的处理时间。”

一方面,D2S开发了可以加快MDP和其他流程的平台。但距离掩膜部门的要求还有差距,该行业希望有更快的过程控制工具和其他系统。

晶圆厂内部

一旦掩膜完成,便被运送到晶圆厂。根据加州大学伯克利分校的理论,晶圆厂每月有50,000个晶圆开始制造,晶圆厂可能需要以下设备:

?50台扫描式/步进式光刻机加上晶圆轨道;

?10台大电流和8个中等电流离子注入机;

?40台刻蚀机

?30种CVD工具

晶圆厂还需要清洁系统和过程控制工具。

晶圆厂是使用自动化材料处理系统(AMHS)的自动化工厂。为此,芯片在一种称为前端开启式晶圆传送盒(FOUP)的封闭容器中进行加工和运输。使用高架式芯片运输车(OHT)系统将FOUP从一组设备运送到另一组。据Daifuku说,在大型晶圆厂中,OHT轨道可以长达10公里,可容纳数百辆汽车。

blob.png

图4 :统一的晶圆厂运输系统(来源:Daifuku )

为了让所有工作一齐进行,晶圆厂使用了各种工厂自动化技术。 供应商还使用WIP流程技术(如实时分发和调度)来协调制造流程。

除物流外,晶圆厂管理者也在关注其他事宜。KLA-Tencor全球客户组织高级总监Robert Cappel表示:“管理者关心成本、cycle time和可预测的收益。芯片制造商的目标是用可接受的成本来制造可靠的器件。cycle time也是关键。我每天都在晶圆厂中,cycle time的增加会花费我更多的钱。”

然而,控制cycle time是具有挑战性的。例如,晶圆厂工具具有一定的生产能力规格,相当于确定的cycle time。Cappel说:“这就像是工作在完美的世界中,但cycle time中有更多的组分。有处理时间,然后有等待使用工具的排队时间。”

事实上,cycle time的最大组分是等待时间。可变因素、操作员延迟、设备安装错误,以及设备停机也是等式的一部分。此外,晶圆厂的利用率也是组合的一部分。ASML产品营销总监Michael Lercel说:“如果以很低的利用率运营晶圆厂,您的加工时间会非常原始。但是,如果以更高的利用率运营晶圆厂,排队时间就会变得更长。”

如果这还不够,则还有其他问题。三星半导体研发中心的技术人员Han Jin Lim表示:“随着器件的复杂性日益增加,晶圆厂工具的生产率下降了。”

考虑到这一点,芯片制造商需要更快的工具。但不是所有的流程步骤都需要更高的生产能力工具,特别是对于一些非关键层。

AppliedMaterials副总裁兼图案和封装部门总经理Prabu Raja说:“有些工具需要cycle time和生产能力的显著改善。”

总而言之,cycle time是一个复杂的问题,它有许多变量。以下是公式:

blob.png

图5 :Cycle time 的组分(来源:KLA-Tencor )

Cycle time的瓶颈

显然,芯片制造商希望在四个主要领域保持一定的cycle time。它们是:图案形成、前段工程(FEOL),后段工程(BEOL)和不增值作业。FEOL是在晶圆厂形成晶体管的地方,而BEOL是制造铜互连的地方。不增值作业包括计量和检验。

finFET制造工艺从图案形成开始,这是cycle time最大的瓶颈。三星的Lim表示:“随着图案复杂性的增加,晶圆厂工具的cycle time也将增加,包括从FEOL到BEOL的所有步骤。”

在今天的多重曝光流程中,芯片制造商实施了两步加工——画线和切割。首先,使用一种称为自对准二重/四重图案(SADP/SAQP)的技术在器件上画细线。  SADP/SAQP使用一个光刻步骤以及额外的沉积和蚀刻步骤。

blob.png

图6 :SADP 金属工艺,其中的间隔物是电介质(来源:Mentor Graphics )


推荐
关闭