关注公众号

关注公众号

手机扫码查看

手机查看

喜欢作者

打赏方式

微信支付微信支付
支付宝支付支付宝支付
×

2019 IEDM:IBM和Leti(二)

2020.9.28

Leti

在我的Leti访谈中,我们讨论了他们与IBM的合作论文,“ Imaging, Modeling and Engineering of Strainin Gate-All-Around Nanosheet Transistors ”。在这项工作中,他们再次专注于纳米片/纳米线,他们使用透射电子显微镜(TEM)成像来成像晶格常数并测量应变。这种技术可以使应变在原子尺度上可视化。

图3展示了他们对结构所做的初始建模,模型预测的结构会承受轻微的拉伸应力。

wx_article_20200824100325_kXG7F9.jpg

图3.纳米片应变建模,图像由Leti提供。

他们在对沟道成像时发现的是,层间介电层(ILD)对沟道施加了压缩应力,这与建模时所期望的拉应力相反。您可以调节来自栅极堆叠和接触的应力,Leti在管理应力方面拥有很多专业知识,并且可以使用此技术校准模型。图4说明了结果。

wx_article_20200824100325_UwA0E9.jpg

图4.沟道应变的TEM图像,图像由Leti提供。

此处使用的应力测量技术是由Leti开发的,并使用了一系列专业技术使其更加精确和敏感。他们还发现,当您沉积非晶伪栅极后将其重结晶为多晶硅时,体积减小会产生凹穴和拉伸应变。

wx_article_20200824100326_bA3S9p.jpg

总结

IBM和Leti在IEDM上发表的关于Nanosheet的工作进展:改进蚀刻工艺,基于偶极子的Vt控制,在叠层下引入介电层降低寄生电容以及对纳米片叠层中应力的理解,以使Nanosheet架构朝着量产迈进。应力会影响载流子迁移率,进而影响器件性能,因此也是未来工艺优化的关键。


推荐
热点排行
一周推荐
关闭